Přidat otázku mezi oblíbenéZasílat nové odpovědi e-mailem Vyřazovací obvod k 7seg displayi

Zdravím vás, potřebuju poradit... Dělám obvod, který bude generovat náhodné čísla v dvojkové soustavě a pak se převede na desítkovou... Ale mám problém, protože když mám číslo například 0111 tak to je 7, ale zároveň se aktivuje číslo 1,2,3,4,5 a 6... A já potřebuju jenom tu sedmičku a právě s tím mám problém... No přiložím vám jednu část schémata, snad mě pochopíte ;)

Předmět Autor Datum
sn74ls47.pdf
jirka44 23.07.2015 07:52
jirka44
...zároveň se aktivuje číslo 1,2,3,4,5 a 6... A já potřebuju jenom tu sedmičku a právě s tím mám pro…
Yarda 23.07.2015 08:25
Yarda
Ten dekoder je dost vachrlatej - to je nejaka vlastni tvorba? Co na to tak koukam, tak 1 je aktivni…
bahno 23.07.2015 09:14
bahno
Já jsem to pochopil tak(jak jsem psal, neanalyzoval jsem to), že na vstupu té malůvky je šestnáctkov…
Yarda 23.07.2015 10:05
Yarda
Mě šlo jenom o tom si totok postavit, aby to bylo rozebráno na co nejmenší části... Aby z toho byl j…
Danekutil 24.07.2015 21:03
Danekutil
Vnitřní zapojení dekodéru BCD na sedmisegmentovku máš přece už v té první odpovědi.
jirka44 25.07.2015 07:46
jirka44
Jo jo, to vím ;) Už se na tom pracuje ;) A moc děkuju ;) V podstatě šlo o debilní sázku, že totok po…
Danekutil 25.07.2015 23:57
Danekutil
Najprv si ujasni ci robis dekoder na 7segmentovku, alebo na 1z10. Ono to neni jedno.
MM.. 27.07.2015 10:00
MM..
V podstatě šlo o debilní sázku Už zase?! Stačí snad toto. poslední
ms 29.07.2015 10:24
ms
Nechapem jak to potrebujes "previest na desitkovou". Snad sa nesnazis navrhnut si delicku alebo celu…
MM.. 23.07.2015 10:50
MM..

...zároveň se aktivuje číslo 1,2,3,4,5 a 6... A já potřebuju jenom tu sedmičku a právě s tím mám problém... No přiložím vám jednu část schémata, snad mě pochopíte...

Asi jsem natvrdlý, nepochopil jsem. A v prodejně křišťálových koulí mají tento měsíc dovolenou. Například proč když do toho obvodu (nechce se mi ho analyzovat, tak věřím, že je správný) pustíš tři jedničky, se aktivuje řada čísel - leda, že bys to řešil jako asynchronní obvod atakdále atakdále. K čemu to vlastně potřebuješ? Teď jsou prázdniny, tak snad ne do školy.

Ten dekoder je dost vachrlatej - to je nejaka vlastni tvorba? Co na to tak koukam, tak 1 je aktivni pro kazdy lichy cislo, dvojka pro kazdy cislo ve tvaru x1xx(tj 2,3,6,7,10)... k cemu to ma bejt?
Dekodet pro segmisegmentovku jde koupit. Dekoder 1z10 jde koupit. Nevidim zadnej rozumnej duvod neco takovyho bastlit z hradel.

Já jsem to pochopil tak(jak jsem psal, neanalyzoval jsem to), že na vstupu té malůvky je šestnáctkový kód (tj. 0-0FH) na výstupu je kód BCD (tj na prvních čtyřech drátech je číslice 0-9, na tom pátém pak jednička, pokud číslo na vstupu je 0AH-0FH) a to se pak připojí na dva dekodéry pro sedmisegmentovky. Ale je to všetko také čudné.

Nechapem jak to potrebujes "previest na desitkovou". Snad sa nesnazis navrhnut si delicku alebo celu ALU.
Ak ti ide len o to zobrazit to (znaky 0-F) na 7segmentovke, tak na to existuju hotove obvody, pripadne aj hocjaky student IT to vie navrhnut do nejakeho PLD. (ak sa snazis o toto, tak to mas uplne blbo, ptz kazdy vystup musi zavisiet od vsetkych vstupov, a teda budes tam potrebovat tych logickych clenov omnoho viac, tipujem tak zo 20-30. Navrhuje sa to cez karnaughovu mapu a naslednym zjednodusovanim logickych fcii).

Zpět do poradny Odpovědět na původní otázku Nahoru